fixed array_merge call

This commit is contained in:
Roland Gruber 2005-07-05 14:39:12 +00:00
parent 92c9d81c1e
commit 8c454749cc
1 changed files with 1 additions and 1 deletions

View File

@ -1379,7 +1379,7 @@ class accountContainer {
} }
} }
// Write Module-Order in variable // Write Module-Order in variable
$this->order = array_merge ('main' ,$order); $this->order = array_unshift($order, 'main');
return 0; return 0;
} }